CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter verilog

搜索资源列表

  1. counter8

    0下载:
  2. 基于verilog的8位计数器的编写,有测试程序,并且测试通过-Based on the 8-bit counter verilog preparation, test procedures, and test by
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:1238807
    • 提供者:徐俊龙
  1. counter

    0下载:
  2. This is 2-BCD numbers Counter on board Altera DE2 Code Verilog HDL (You must import DE2_pin_assignments.csv to use this code)
  3. 所属分类:LabView

    • 发布日期:2014-04-20
    • 文件大小:460800
    • 提供者:nitro
  1. verilog-example

    0下载:
  2. verilog基础实验,包括篮球计数器,序列检测计等-verilog based experiments, including basketball counter sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:4085
    • 提供者:吴忠国
  1. The-decimal-counter

    0下载:
  2. 用verilog实现的十进制计数器(异步复位)-The decimal counters (asynchronous reset)
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-17
    • 文件大小:44737
    • 提供者:丁凤
  1. counter

    0下载:
  2. A 4 bit counter. In the testbench I combine three counters into one. Verilog codes with testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:623
    • 提供者:cry
  1. Decade-Counter

    0下载:
  2. The file contains source code verilog for counting number of 1s
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-15
    • 文件大小:90128
    • 提供者:dorababugfree
  1. Johnson-counter-with-verilog-design

    0下载:
  2. the file contains verilog code for johnson counter
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-23
    • 文件大小:42999
    • 提供者:dorababugfree
  1. Mod13-counter-with-verilog-design

    0下载:
  2. verilog code for mod13 counter source code-verilog code for mod13 counter source code
  3. 所属分类:MiddleWare

    • 发布日期:2017-12-03
    • 文件大小:69663
    • 提供者:dorababugfree
  1. ringcounter-with-verilog-design

    0下载:
  2. Ring counter souce code in verilog
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-12
    • 文件大小:2886
    • 提供者:dorababugfree
  1. A-4-bit-variable-modulus-counter

    1下载:
  2. 用Verilog HDL设计一个4bit变模计数器和一个5bit二进制加法器。在4bit输入cipher的控制下,实现同步模5、模8、模10、模12及用任务调用语句实现的5bit二进制加法器,计数器具有同步清零和暂停计数的功能。主频为50MHz,要求显示频率为1Hz。-A 4-bit variable modulus counter and a 5bit of binary adder using Verilog HDL design. 4bit input under the control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:1733
    • 提供者:赵玉著
  1. counter

    0下载:
  2. 计算器的verilog语言程序代码。能实现加、减、乘、除运算。-verilog language of counter。it can achiev plus o, minus, multiplication and addition operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:21499
    • 提供者:扈静
  1. text9

    0下载:
  2. 数字电路实验:计数器。使用小规模集成器件设计计数器的;使用中规模集成器件设计计数器的;Verilog HDL对计数器的建模-Digital circuit experiment: Counter. The use of small-scale integrated device design counter Use medium-scale integrated devices designed to counter Verilog HDL modeling counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:634263
    • 提供者:Toby
  1. counter

    0下载:
  2. implementation of a four bit counter in verilog
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-05
    • 文件大小:523
    • 提供者:Ankit
  1. counter

    0下载:
  2. 采用VERIlOG HDL语言设计的一个加法器项目,简单可靠,并把其中测试平台程序加入其中-VERIlOG HDL language designed using an adder project, simple, reliable, and to join the program in which the test platform
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:196324
    • 提供者:keke
  1. Counter

    0下载:
  2. 该程序是一个verilog语言程序,用于计数-The program is a verilog language program, used to count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:81945
    • 提供者:lucy
  1. jishuqi

    0下载:
  2. 4位二进制的计数器 Verilog 代码-4-bit binary counter Verilog code
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:27097
    • 提供者:Lucky
  1. counter

    0下载:
  2. 同步清零的可逆计数器,带时钟分频 Verilog HDL语言编写-Synchronous clear reversible counter with clock divider Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:336842
    • 提供者:王军
  1. verilog-source-codes

    0下载:
  2. the attached programs are source codes of 4-bit ring counter, 16x1 mux, 8x3 priority encoder, 4x16 decoder, full subtractor using two half subtractors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2236
    • 提供者:apparao
  1. count_1000

    0下载:
  2. 适用于verilog hdl初学者——0-999加法计数器,内带vwf波形仿真-Suitable for beginners 0-999 adding counter verilog hdl, which with vwf waveform simulation
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:561632
    • 提供者:王青
  1. counter

    0下载:
  2. 利用verilog编写的分频计数器,包括0.01s,1ms,1s三个计数器,可适用于ise14.7开发环境-Use verilog to write a crossover counter, including 0.01s, 1ms, 1s three counters, applicable to ise14.7 development environment
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:38833
    • 提供者:喻国芳
« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com